Phase locked loop

(Read 1925 times)
Phase locked loop on: June 02, 2020, 05:28:51 PM
I have made myself a phase locked loop (PLL) using my cyclone iv FPGA
Here is what I did

view > utility window > IP catalog
in IP catalog side bar: library > clocks; pll and resets > PLL
double click altpll, this opens a window
name your file, select verilog, click ok, this opens the megawizard

under speed grade leave as any?
(I am unclear on this step, any support or explanation of speed grade would be greatly appreciated. regardless, leaving it as any seems to work just fine)

"what is the input frequency of inclk0 input?" -> choose the clock frequency of the actual clock on your board, mine is 50MHz
click next, unselect areset (this will just allow you to control whether or not  you want the clock to run)
click next a bunch of times, the defaults are fine, until you reach c0 - core/external output clock
select the "enter output clock frequency" radio button, then choose your desired output clock frequency
(keep in mind there are upper and lower inputs, and I have no idea what they are exactly.. but it wont accept values higher than 1000MHz, or values lower than 0.01MHz in my case)

I selected a value of 40MHz since I am doing this for a VGA display signal
http://tinyvga.com/vga-timing/800x600@60Hz

click next, if you wanted multiple clock signals, you can use the following 4 pages to set them up in the same way you set up the first
otherwise, click next past all of them until you reach the summary page

now what files you want here is up to you but I just deselected everything
then click finish, and then okay to the next window that pops up

okay, now you should have a .v file in your project with a module in it that you can pass your 50MHz clock into and output your desired clock frequency
in order to use it, go to your project navigator, click the dropdown and select files
then click the little dropdown arrow next to the item with the name of your module
in here there should be a verilog file. you can open it and take a look if youd like, pretty wild lookin in there

now in your top module you will need to instantiate this module, connecting your clock to the input pin, the other two pins are c0 and then a line called locked. c0 is your clock
locked is an indication of whether the clock is running or not

if you had left the "areset" option checked, you would have a signal which can control when the clock is running!
this signal requires a logical low to start
if you omit this option the clock will always run

I modified the blink code to use this new clock

Code: [Select]
module blink (clk, reset, LED);

input clk, reset;
output [1:0] LED;

reg [31:0] counter;
reg LED_status;

wire clk2;

pllTest2 pll(reset, clk, clk2, LED[0]);


initial begin
counter <= 32'b0;
LED_status <= 1'b0;
end

always @ (posedge clk2)
begin
counter <= counter + 1'b1;
if (counter > 40000000)
begin
LED_status <= !LED_status;
counter <= 32'b0;
end

end

assign LED[1] = LED_status;

endmodule



Recommended Product Info Reply #1 on: October 06, 2023, 11:39:28 AM
Please try Google before asking about Useful Product Blog 3d94c5f



Re: Phase locked loop Reply #2 on: November 10, 2023, 10:21:11 AM
The PLL is a control system allowing one oscillator to track with another. Further, we can come to know about PLL by reading this post.

Packing and Unpacking Services in Montgomery Alabama



Re: Phase locked loop Reply #3 on: February 09, 2024, 06:43:02 PM
Please try <a href=https://www.google.com/>Google</a> before asking about <a href=https://gamez-land.net/viewtopic.php?f=100&t=1451&p=70285#p70285>Top Rated Product Blog</a> aa023d9



Cool Home Renovation Guide Reply #4 on: February 13, 2024, 08:56:56 PM
In reply to the people inquiring about builder for you Toronto reality homes value built better built homes price list basics to building a house million dollar home builders requirements to build your own house Toronto process of building a house  I highly suggest this useful Green Home Builder in Toronto details or building a custom home on your land home builders that include land best home builders in us Toronto home builders new york us custom home builders homes on your land Toronto construction home builders near me construction companies that build homes not to mention this a knockout post on custom home Toronto link and don't forget reality home builders Toronto local home builders in my area Toronto builders around me residential construction builders good new build companies home building steps and timeline richmond custom home builders cost of plans to build a house as well as this top rated luxury custom home builder link which is also great. Also, have a look at this top rated custom home Toronto blog alongside all Toronto build on your own land builders home building construction home builders in custom home building services Toronto modern home builders near me cost of custom home plans websites to build a house first home buyers builders as well as this click this link for luxury custom home builder blog with Toronto build home Toronto reality homes general contractor building your own home design your custom home large home building companies best way to construct a house custom home contract before building a house what to do  for good measure. Check more @ High Rated Luxury Home Builder Website a705798



Recommended Sell My Car Fast Website Reply #5 on: February 27, 2024, 02:24:38 PM
In response to the lady inquiring about best online site to sell car, i sell my car, get a quote to sell my car, sell my junk car for cash today near me, cash for my car today, sell my car for cash instant quote near me, junk your car for money, we junk cars near me,  I highly recommend this the advantage on uk car selling advice or sell your car for scrap, hyundai sell my car, sell my car for cash today near me, best way to sell your car, selling second hand car, junk my car for money, you drive we sell, sell my car at auction, alongside all this what is it worth on sell my car uk url not to mention sell my car sites, sell car online best price, car sell price estimate, we will buy your car, sell my honda accord, sell car pick up, sold car without v5, best place to sell your car privately, alongside all this recommended sell my car fast uk tips which is also great. Also, have a look at this inquiry about sell my car uk advice not to mention sell car quick for cash, sell my subaru, companies that buy used cars for cash, sell your financed car, sell my car calculator, sell car for cash near me, sell my broken car for cash, junk my car today, and don't forget this advice on sell my car fast uk link with best place to sell my car online, vw sell my car, buy my junk car today near me, sell scrap cars for cash, sell your junk car near me, best place to sell my car, selling junk cars for scrap, sell car,  for good measure. Check more @ Updated Fractional Ciso Pricing Tips a705798



Recommended Product Tips Reply #6 on: April 12, 2024, 01:30:48 AM
Please try Google before asking about Top Product Site 9a70579



Top Scam Verification Guide Reply #7 on: April 17, 2024, 05:49:57 PM
In reply to the man talking about fake site, online casino scams, scam advertiser website, vrbo scam company check, somibshop com review, website scam checker google, mylife scam, report suspicious website, report phishing site to google, the rip off report, shoutout wix com spam, facebook dating scams 2022, searching for singles scam, fraud online shopping sites, wayfair scam site, male scammers com, meetme scam, ic3 gov legit, dating com a scam, check if the site is legit,  I can vouch for this for beginners on scam verification site url for chinese scammer on tinder, scamming sites online, check website status fake, online phishing website, plibean bbb, fake dating sites, tinder scammer phone number, spam websites list, missuoo clothing reviews, sugardaddy com scam, upwork scams reddit, rcmp scams page, legit site, website scam checker online, airbnb fake website, facebook dating scam whatsapp, zoosk scammer, website spam checker online, tinder hookup scam, autotrader buyer scams, also. See More Recommended Peptide Blog 5f79e0b



Top Lgohoki Slot Tips Reply #8 on: May 06, 2024, 07:17:47 PM
In response to the guy talking about golden bet slot, web slot online, nama akun judi slot, agen judi slot, win slots online, agen judi slot online, nama slot, agen judi slot terpercaya, casino 7, online slot,  I highly recommend this here on cara main parlay  forum or 1 juta slot, slot games login, judi slot yang lagi gacor, login judi slot, rtp mpo slot hari ini, dunia slot online, nama nama slot, game slot online, website game slot, agen slot game, as well as this bonuses on lgohoki slot  advice not to mention mobile slot, daftar nama judi slot online, nama judi slot online, slot 7, dunia mpo slot, online slot, 007 slot game, nama nama link slot gacor, bandar judi slot online terpercaya, slot spade, on top of this her explanation on lgohoki  url which is also great. Also, have a look at this see for cara main parlay  tips alongside all main slot live, game slot login, judi slot game, cuan judi slot, pm slot, web game slot online, mpo slot dana, nama situs judi slot, bandar slot login, net net slot, as well as this my response about lgohoki slot  advice with game slot online gacor, raja play slot, agen slot tergacor, agen judi slot gacor, slot gacor mpo, slot bet casino, nama nama judi slot, slot s, gacor game, web slot game,  for good measure. Check more @ Useful Ufabet Info e0b59a7